Blur Store - The Best Gadget Store

Online shopping from the earth's biggest selection of gadget and just about anything else.

Big Sale Best Cheap Deals Practical Low Power Digital VLSI Design

Big Sale Best Cheap Deals Practical Low Power Digital VLSI Design

Practical Low Power Digital VLSI Design




Big Sale Best Cheap Deals Practical Low Power Digital VLSI Design



Big Sale Best Cheap Deals Practical Low Power Digital VLSI Design

Specifications

  • Sales Rank: 3230446 in Books
  • Published on: 1997-08-31
  • Original language:English
  • Number of items: 1
  • Dimensions: .78" h x6.38" w x9.59" l,.99 pounds
  • Binding: Hardcover
  • 212 pages


Big Sale Best Cheap Deals Practical Low Power Digital VLSI Design


Practical Low Power Digital VLSI Design emphasizes the optimization and trade-off techniques that involve power dissipation, in the hope that the readers better prepd the next time they presented with a low power design problem. The book highlights the basic principles, methodologies and techniques that common to most CMOS digital designs. The advantages and disadvantages of a particular low power technique discussed. Besides the clical a-performance trade-off, the impact to design cycle time, complexity, risk, testability and reusability discussed. The wide impacts to all aspects of design what make low power problems challenging and interesting. Heavy emphasis is given to top-down structured design style, with occasional coverage in the semicustom design methodology. The examples and design techniques cited have been known to be applied to production scale designs or laboratory settings. The goal of Practical Low Power Digital VLSI Design is to permit the readers to practice the low power techniques using current generation design style and process technology.
Practical Low Power Digital VLSI Design considers a wide range of design abstraction levels spanning circuit, logic, architecture and system. Substantial basic knowledge is provided for qualitative and quanative ysis at the different design abstraction levels. Low power techniques presented at the circuit, logic, architecture and system levels. Special techniques that specific to some key as of digital chip design discussed as well as some of the low power techniques that just appearing on the horizon.
Practical Low Power Digital VLSI Design will be of benefit to VLSI design engineers and students who have a fundamental knowledge of CMOS digital design.




Daily Deals

Gift Card

Best Seller

Coupon

Big Sale Best Cheap Deals Practical Low Power Digital VLSI Design Reviewed by Unknown on Wednesday, March 26, 2014 Rating: 4.5
0 comments:
Post a Comment